你的位置:首頁(yè) > 互連技術(shù) > 正文

20Gbps+傳輸速率互連系統(tǒng)受控ISI設(shè)計(jì)方法

發(fā)布時(shí)間:2023-01-06 責(zé)任編輯:wenwei

【導(dǎo)讀】高速電鏈路的性能受到板卡、封裝和連接器中的導(dǎo)體損耗、介電色散和反射的限制。這些非理想特性帶來(lái)了明顯的碼間干擾。我們?cè)诋?dāng)前的系統(tǒng)中要么通過(guò)復(fù)雜的均衡、信號(hào)調(diào)制與編碼技術(shù)進(jìn)行處理,要么通過(guò)成本不菲的阻抗控制與制造工藝來(lái)減輕ISI效應(yīng)。我們提出的方法并不是盡量削減ISI,而是使用板卡與封裝中簡(jiǎn)單的無(wú)源結(jié)構(gòu)將信道響應(yīng)塑形為一組ISI受控的信道特性。我們?cè)诎l(fā)射器與接收器上利用了此種受控的ISI,簡(jiǎn)化了系統(tǒng)架構(gòu)并獲得了較高的數(shù)據(jù)速率。在常見(jiàn)連接技術(shù)中應(yīng)用ISI受控的連接器的設(shè)計(jì)方法是相當(dāng)簡(jiǎn)單的。我們也通過(guò)雙二進(jìn)制與模擬多頻音(AMT)等信令方式給出了模擬示例,以證明這種設(shè)計(jì)方法的有效性與技術(shù)優(yōu)勢(shì)。


1 引言


為了支持當(dāng)前計(jì)算與通訊系統(tǒng)的高帶寬要求,無(wú)源互連器件必須具有高速數(shù)據(jù)傳輸能力。目前,芯片-芯片與背板鏈路帶寬受到無(wú)源器件(封裝、板卡、連接器等)帶寬的限制,而不受發(fā)射器和接收器中有源線路工作速度的限制。由于連接器及其周邊器件內(nèi)在損耗與彌散機(jī)理,互連系統(tǒng)在帶寬上受到限制。這些非理想狀態(tài)在低成本封裝、印制電路板(PCB)和連接器技術(shù)中尤為明顯。圖1(a)是常見(jiàn)連接系統(tǒng)中各種信道長(zhǎng)度所對(duì)應(yīng)的插入損耗。當(dāng)工作頻率超過(guò)5GHz時(shí),在例如常見(jiàn)背板中的那些較長(zhǎng)的信道中所能接收到的信號(hào)能量是非常小的。對(duì)于中等長(zhǎng)度與較短的信道而言,同樣難以檢測(cè)到頻率超過(guò)10GHz的信號(hào)能量。除衰減外,由于通孔、連接器、焊球和短截線等所造成的不連續(xù)也嚴(yán)重地限制了信道帶寬。這種帶寬限制結(jié)構(gòu)中的一些并不是信號(hào)通路的一部分,它們的存在僅僅是因?yàn)橛挟a(chǎn)品制造或機(jī)械結(jié)構(gòu)方面的要求。例如,常見(jiàn)背板中的穿孔短截線和絲焊的塑料焊球陣列封裝中的電鍍短截線,它們就沒(méi)有任何電氣方面的用途。由于這些穿孔和電鍍短截線帶來(lái)諧振,因而明顯地降低了信道的工作帶寬,如圖1(b)所示。結(jié)果,帶有長(zhǎng)短截線的印制線的頻帶寬度大幅減小。


現(xiàn)在,我們可以設(shè)計(jì)阻抗受控的互連系統(tǒng),使得通過(guò)互連器件的信號(hào)數(shù)據(jù)速率提高。此外,借助于精確的補(bǔ)償技術(shù)也可以大大減小連接器、焊球和短截線等所造成的不連續(xù)性。不過(guò),隨著數(shù)據(jù)傳輸速率的提高,這種補(bǔ)償技術(shù)的效應(yīng)卻在不斷減弱;這是因?yàn)樵摷夹g(shù)在本質(zhì)上只適用于窄帶寬的情形,并且難以抑制隨著數(shù)據(jù)速率提高而產(chǎn)生的總體信道衰減。先進(jìn)封裝、連接器、穿孔技術(shù)和更好的材料固然可以進(jìn)一步改善信道的傳輸特性,但信道的成本將會(huì)隨之大幅增加。降低ISI效應(yīng)的另一種途徑是使用信道均衡方法。遺憾的是,對(duì)于短截線長(zhǎng)度較長(zhǎng)的低成本互連技術(shù)而言,其帶零值的頻率特性可能會(huì)在使用簡(jiǎn)單的均衡方法時(shí)遇到一些問(wèn)題。這就需要采用復(fù)雜的芯片上數(shù)字信號(hào)處理線路來(lái)解決。一種備選方案是使用多級(jí)信令的方式并將數(shù)據(jù)壓縮進(jìn)窄小的帶寬中。然而,多級(jí)信令不僅降低了其信噪比(SNR),還增加了定時(shí)恢復(fù)線路的復(fù)雜程度,使得系統(tǒng)能耗也相應(yīng)增大。一種與上述均力圖消除ISI的技術(shù)不同的備選方案為,控制ISI并將其塑形為某種已知模式,從而可以用來(lái)在接收器處高效地檢出信號(hào)。在這種備選方案中,設(shè)計(jì)連接系統(tǒng)的目標(biāo)是使用印制線和過(guò)孔短截線對(duì)信道響應(yīng)進(jìn)行塑形(與阻抗匹配相反);這樣做系統(tǒng)就能獲得所需要的特性,能夠傳輸更高的數(shù)據(jù)速率,并且使發(fā)射器和接收器的結(jié)構(gòu)都更加簡(jiǎn)單。


1.jpg


2 尖端鏈路的設(shè)計(jì)


在高速鏈路設(shè)計(jì)中,通常使用均衡與信號(hào)處理技術(shù)來(lái)減輕ISI影響。均衡可以補(bǔ)償信道與頻率有關(guān)的損耗、板卡與封裝中長(zhǎng)的印制線的彌散以及因元件過(guò)載而造成的彌散。圖2以框圖形式顯示了一條尖端鏈路。線性反饋均衡器與判定反饋均衡器通常都存在于目前的系統(tǒng)中。線性均衡器是一個(gè)使用參數(shù)可調(diào)的線性濾波器來(lái)補(bǔ)償信道失真的正向輸送均衡器(FFE)。它可以作為發(fā)射器預(yù)加重與/或接收器均衡來(lái)使用,如圖3所示。盡管接收器均衡有許多優(yōu)點(diǎn),但是,每秒千兆位級(jí)并行總線最簡(jiǎn)單且最具成本效益的方法還是傳輸預(yù)加重型。傳輸預(yù)加重通過(guò)預(yù)加重輸入信號(hào)的高頻部分從而補(bǔ)償該信道的低通量特性,見(jiàn)圖3中的傳輸均衡器的傳輸函數(shù)圖。不過(guò),發(fā)射器用信號(hào)振幅組的一部分來(lái)產(chǎn)生緊跟主符號(hào)后的預(yù)成形符號(hào),從而降低SNR。有發(fā)射與接收線性均衡的系統(tǒng),其性能可以簡(jiǎn)單地通過(guò)時(shí)域或頻域的線性分析來(lái)確定。我們可以通過(guò)將濾波器作為并行發(fā)射器集成到各驅(qū)動(dòng)模塊上的模擬技術(shù)來(lái)實(shí)現(xiàn)預(yù)加重濾波器的構(gòu)造。


判定反饋均衡器(DFE)是一種使用先前判定來(lái)消除由先前檢出的符號(hào)對(duì)當(dāng)前要檢出符號(hào)所造成的ISI的非線性均衡器。使用DFE的高速互連器件的單比特響應(yīng)(SBR)情況如圖4所示。DFE無(wú)法消除前置ISI的影響,因?yàn)樗鼈兇嬖谝蚬P(guān)系。因此,DFE通常需要與FFE成對(duì)使用。DFE是消除后置ISI最有效的方法,因?yàn)樗c發(fā)射FFE相反,并不會(huì)減小發(fā)射峰的電壓配額,并且與接收FFE不同的是,它并不會(huì)放大信道噪聲。不過(guò),如果使用了DFE,那么在設(shè)計(jì)最初(幾個(gè))后驅(qū)DFE分接頭時(shí)線路設(shè)計(jì)的主要挑戰(zhàn)將是在一個(gè)(或少數(shù)幾個(gè))單位時(shí)間間隔內(nèi)關(guān)閉反饋回路的計(jì)時(shí),如圖5(a)所示。這個(gè)問(wèn)題對(duì)于第一個(gè)FDE分接頭而言尤其明顯,因?yàn)樗邮盏男盘?hào)必須要檢測(cè),再乘以相應(yīng)的權(quán)重系數(shù),并從輸入信號(hào)中減去,所有這些僅在一個(gè)單位時(shí)間內(nèi)完成。在20Gbps下的2-PAM鏈路中,單位時(shí)間間隔可能僅有50ps那么短。因此在高速鏈路中最開(kāi)始的DFE分接頭通常未被移除,或者通過(guò)先行計(jì)算來(lái)展開(kāi)反饋回路并增加回路中的時(shí)延。


在分接頭數(shù)量為一個(gè)且回路展開(kāi)的DFE中,每個(gè)周期要進(jìn)行兩次判定。一個(gè)比較電路按照假定前一個(gè)接收到的信號(hào)為1的情形對(duì)當(dāng)前接收到的信號(hào)進(jìn)行判定;另一個(gè)比較電路按照假定前一個(gè)接收到的碼元為0的情形對(duì)當(dāng)前接收到的信號(hào)進(jìn)行判定。一旦知道前一個(gè)碼元,我們就能選擇正確的比較電路輸出。圖5(b)中所示的是一個(gè)分接頭數(shù)量為一個(gè)且回路展開(kāi)的DFE。回路展開(kāi)的DFE在兩個(gè)調(diào)節(jié)過(guò)的眼位上做出兩次判定,這一過(guò)程是通過(guò)使用以最開(kāi)始的后驅(qū)分接頭ISI大小作為補(bǔ)償?shù)牟蓸悠鱽?lái)進(jìn)行的。圖6(a)和(b)分別顯示了上眼圖和下眼圖。這兩個(gè)眼位分開(kāi)的程度與最開(kāi)始的后驅(qū)分接頭ISI成比例?;芈氛归_(kāi)DFE中的定時(shí)限制要在一個(gè)單位的時(shí)間間隔中容納一個(gè)正反器和一個(gè)復(fù)用器;這在20Gbps的情況下仍然是一項(xiàng)挑戰(zhàn)。分接頭數(shù)量超過(guò)1時(shí),展開(kāi)回路所需要的取樣器的數(shù)量會(huì)以2分接頭數(shù)量的方式增加。因此,在通常情況下盡量避免展開(kāi)分接頭數(shù)量超過(guò)1的回路。


3 ISI受控鏈路設(shè)計(jì)


3.1 局部響應(yīng)信令


我們假設(shè)通訊信道中的ISI現(xiàn)象非常嚴(yán)重,以至于在信號(hào)通過(guò)信道時(shí),前一個(gè)碼元被疊加在當(dāng)前的碼元上。也就是說(shuō),在n時(shí)刻所接收到的信息Yn由公式(1)來(lái)確定:


2.png


1670326362662399.png

圖3  沿發(fā)射與接收線性均衡器的信道傳輸函數(shù)


4.png

圖4 分接頭數(shù)量為三個(gè)的DFE應(yīng)用在第二、第三和第四后驅(qū)體是的單比特響應(yīng)


5.png

(a)標(biāo)準(zhǔn)DFE (b)回路展開(kāi)的DFE

圖5 DFE的反饋回路中的時(shí)延


在這里,Xn為時(shí)刻n 時(shí)所發(fā)射的符號(hào)。那么對(duì)于2-PAM系統(tǒng)而言,如果Xn與Xn-1相等,那么所接收到的信號(hào)要么為0要么就為2,否則就為1。因此,如果我們知道Xn-1是什么,我們就能用常規(guī)DFE或回路展開(kāi)的DFE來(lái)找出Xn是什么。


或者,我們也可以在發(fā)射器發(fā)射信號(hào)之前在該處進(jìn)行以下的簡(jiǎn)單預(yù)編碼:


6.png


7.jpg

圖6 由上部和下部取樣器所看到的所接收到的經(jīng)過(guò)調(diào)解的眼位

(a)上部眼位(b)下部眼位


8.png


⊕式中,⊕代表XOR運(yùn)算,并且傳遞的是Yn而非Xn。這樣,我們就能輕而易舉地證明接收器處的水平2和0對(duì)應(yīng)于Xn = 0,且不論Xn-1的值為何、水平1均對(duì)應(yīng)于Xn = 1。因此,在發(fā)射器上進(jìn)行的編碼就獻(xiàn)出了在接收器處使用DFE的需要;而且該過(guò)程無(wú)需增加發(fā)射器電壓凈空要求,這是因?yàn)榘l(fā)射序列仍然由1和0所組成。這種信令方式稱(chēng)為雙二進(jìn)制信令,首先是由Lender提出的。如果信道特性并非如上所述的那樣,我們可以在發(fā)射器處使用一個(gè)線性FFE來(lái)對(duì)信道進(jìn)行預(yù)編碼,從而使得信道符合雙二進(jìn)制ISI模式。因此在實(shí)際系統(tǒng)中,我們通常在信道特性近似于雙二進(jìn)制信道時(shí)才使用雙二進(jìn)制信令。雙二進(jìn)制信令已經(jīng)有在一塊較長(zhǎng)的FR4背板上通過(guò)了10Gbps及更高速率驗(yàn)證。特定于其他信道類(lèi)型的其他部分響應(yīng)信令類(lèi)型也同樣存在。表1列出了雙二進(jìn)制、雙碼、改型雙二進(jìn)制和2類(lèi)等常見(jiàn)的少數(shù)幾種局部響應(yīng)系統(tǒng)的特性。


圖7(a)和(b)分別顯示了雙二進(jìn)制、雙碼、改型雙二進(jìn)制和2類(lèi)的頻率響應(yīng)及脈沖響應(yīng)。雙二進(jìn)制信道是一個(gè)零頻為Ω=π/T的低通量濾波器,雙碼信道是一個(gè)零頻為Ω=0的高通量濾波器。改型雙二進(jìn)制信道是一個(gè)零頻同時(shí)為Ω=0和Ω=π/T的通頻帶。2類(lèi)信道也是一個(gè)零頻為Ω=π/T的低通量系統(tǒng),只是其頻響衰減波形與雙二進(jìn)制信道不同。雙二進(jìn)制的眼圖與2類(lèi)系統(tǒng)的眼圖分別見(jiàn)圖8(a)和(b),雙二進(jìn)制和2類(lèi)系統(tǒng)分別有3個(gè)和5個(gè)等級(jí)。


3.2 多頻音信令


前面介紹的局部響應(yīng)方法利用了在信道頻率響應(yīng)第一個(gè)陷波之前的信道帶寬的一部分。但在第一個(gè)陷波之后,信道頻率響應(yīng)有可能恢復(fù)為非零值,比如頻率響應(yīng)為1+e#(-jπfT)的雙二進(jìn)制信道就是如此。事實(shí)上,頻率響應(yīng)中的陷波間隔距離相等,均為(2k+1)/2T;可以用于信號(hào)傳輸?shù)念~外信道帶寬存在于每?jī)蓚€(gè)陷波之間,這是因?yàn)殡p二進(jìn)制傳輸并沒(méi)有使用該位置。在這種情況下,我們可以傳輸由以直流為中心的雙二進(jìn)制流和一組以非零載波頻率為中心的通頻帶流所組成的多頻音序列。


9.jpg

圖7 頻域特性和少數(shù)幾種部分響應(yīng)系統(tǒng)脈沖響應(yīng):

雙二進(jìn)制(類(lèi)型1)、雙碼、修正型雙二進(jìn)制和類(lèi)型2(a)頻域響應(yīng)(b)時(shí)域響應(yīng)


最近,業(yè)界提出了一種適用于高速鏈路的多頻音新架構(gòu),稱(chēng)為模擬多頻音(AMT)。圖9(a)中所示的是一種簡(jiǎn)化的三通道型AMT系統(tǒng)。我們將輸入碼元流與三條子流并列放置,各子流的速度為總比特率的三分之一。接下來(lái)各子流均被調(diào)節(jié)至其各自的載波頻率上,合并后的信號(hào)通過(guò)線路發(fā)送出去。圖9(b)為各子信道在接收器輸入端處的獨(dú)特頻率響應(yīng)的示意圖。AMT系統(tǒng)中所有的載波頻率均為子流碼元速率的整數(shù)倍。當(dāng)ISI不存在時(shí),子流在接收器中用混合器和整合器彼此分隔開(kāi)來(lái)。在出現(xiàn)ISI的實(shí)際系統(tǒng)中,正向輸送均衡器別放置在各子流的發(fā)射器處,以維持接收器處各子流之間的正交狀態(tài)。發(fā)射器處的混合器也與發(fā)射均衡器整合在一起,并且在數(shù)字域中發(fā)揮作用。與常見(jiàn)的非歸零(NRZ)系統(tǒng)相似,AMT系統(tǒng)中的每條子流可以在接收器處配備一個(gè)DFE,DFE甚至可以存在于各子流之間,以消除后置的信道間干擾(ICI)。不過(guò),AMT中的DFE以子流速率運(yùn)行,其速率只是系統(tǒng)總比特率的幾分之一。因此,時(shí)序約束就減輕了很多。在雙二進(jìn)制信道1+e#(-jπfT)上,如果子流速率被設(shè)定等于T,那么,信道會(huì)在發(fā)射器輸出端處延遲整合信號(hào)(并因此延遲了組成它的各子流)達(dá)一個(gè)完整的子流周期,并將其疊加至自身。不過(guò),由于各載流頻率為1/T的整數(shù)倍,該運(yùn)算并不影響子流之間保持正交狀態(tài)。因此,各子流在接收器處被混合與整合后,各子流將作為雙二進(jìn)制序列被分開(kāi)。因此,我們可以在發(fā)射器處的各子流上進(jìn)行雙二進(jìn)制編碼,以簡(jiǎn)化各子流的信號(hào)檢測(cè)。


10.jpg

圖8 數(shù)據(jù)速率20Gbps的(a)雙二進(jìn)制和(b)類(lèi)型2的部分響應(yīng)信號(hào)眼圖(a)雙二進(jìn)制(b)類(lèi)型2


11.png

圖9 三通道AMT系統(tǒng)與子信道頻率響應(yīng)

(a)三通道AMT系統(tǒng);(b)子信道頻率響應(yīng)


雖然我們本章的論據(jù)基礎(chǔ)是雙二進(jìn)制信道,但這些論據(jù)卻可延展至前一章中所介紹的局部響應(yīng)信令方法的所有變化。


4 受控ISI信道工程設(shè)計(jì)


高速鏈路信道通常為在的均衡技術(shù)的幫助下盡力消除ISI的系統(tǒng)。這樣的而系統(tǒng)不僅結(jié)構(gòu)相當(dāng)復(fù)雜,還非常耗能。除此之外,這樣的鏈路信道通常通量較低,并伴有急劇的高頻率規(guī)律性衰減。雖然它們與第三部分中的低通量部分響應(yīng)信道類(lèi)似,但并非完全一樣。即使ISI消除型信道陷波類(lèi)似于雙二進(jìn)制系統(tǒng)陷波,其陷波頻率也可能并不符合目標(biāo)信號(hào)速率。因此,為了創(chuàng)造等效的部分響應(yīng)信道,在發(fā)射器處設(shè)置一定量的均衡是有必要的,而這樣做也意味著能量消耗的提高并降低SNR。在本文中,我們通過(guò)在PCB印制線和封裝上添加無(wú)源波導(dǎo)結(jié)構(gòu)的方法,從而提出一種在常規(guī)鏈路基礎(chǔ)上創(chuàng)建部分響應(yīng)信道的備選方案。


圖10(a)表示一個(gè)帶有單短截線的互連系統(tǒng),短截線用來(lái)調(diào)節(jié)其傳輸特性。我們可以通過(guò)改變短截線的長(zhǎng)度和阻抗來(lái)改變系統(tǒng)響應(yīng)波形。短截線的長(zhǎng)度決定零頻,其阻抗影響衰減,如圖10(b)和(c)所示。短截線長(zhǎng)度與初次零頻關(guān)系如下所示:


12.png


式中,c0為光速,∈r為電容率或材料的介電常數(shù),L 為短截線的長(zhǎng)度。對(duì)于低損耗的材料而言,信道級(jí)響應(yīng)對(duì)短截線的位置較為敏感。


13.png

(a) 添加一條短截線 ;(b)H(f)作為短截線長(zhǎng)度的函數(shù);(c)H(f)作為短截線阻抗的函數(shù)

圖10 用一條短截線給信道傳輸函數(shù)塑形


添加多條短截線,我們就能非常近似地獲得所需的光譜形狀。我們可以通過(guò)短截線長(zhǎng)度和阻抗這兩個(gè)可調(diào)參數(shù)在多個(gè)位點(diǎn)處修改信道的特性參數(shù)。因此,在各個(gè)短截線位置,信道的特征阻抗可以改變。圖11(a)表示配有兩條短截線的互連系統(tǒng)。其中一條短截線可以代表一種不連續(xù)狀態(tài),作為信道的一個(gè)部分且無(wú)法移除。我們可以有意添加另一條短截線來(lái)改變信道的響應(yīng)。在短截線之前及之后的印制線的長(zhǎng)度分別為L(zhǎng)1=50mm和L3=25mm。兩條短截線的長(zhǎng)度均為L(zhǎng)4=L5=14.5mm。通過(guò)調(diào)整短截線之間的距離,我們可以明顯改變互連系統(tǒng)的傳輸函數(shù),如圖11(b)所示。圖中的曲線1是添加第二條短截線之前的信道響應(yīng)。當(dāng)短截線之間的距離為10mm時(shí),我們得到了曲線2所示的平坦的信道響應(yīng)。不過(guò),當(dāng)距離設(shè)置為5.0mm和2.5mm時(shí),信道響應(yīng)在更高的頻率處出現(xiàn)峰值,參見(jiàn)曲線3和4。因此,我們可以通過(guò)短截線及短截線反射的相互作用來(lái)大幅改變互連系統(tǒng)的總體信道特性。來(lái)自短截線的局部反射所造成影響可以通過(guò)小反射理論來(lái)粗略估算。不過(guò),可以調(diào)整的實(shí)際上也只有少數(shù)幾個(gè)設(shè)計(jì)參數(shù)。因此,通過(guò)模擬調(diào)節(jié)少數(shù)幾個(gè)關(guān)鍵參數(shù)更容易找到最佳方案。


5 案例分析:一條6英寸FR4芯片-芯片互連器件


圖12(a)中是我們將在本文中研究的芯片-芯片互連系統(tǒng)示意圖。該互連器件由一條6英寸FR4 PCB印制線、兩個(gè)低成本塑料封裝(內(nèi)部各有20mm長(zhǎng)的基板印制線)、PCB和封裝過(guò)孔和寄生元器件(Ci和Ri,即電容和電阻),以及短截線組成。隨著信號(hào)通過(guò)信道傳播,所有這些元器件都會(huì)使信號(hào)發(fā)生衰減與彌散。為了對(duì)數(shù)千兆赫茲級(jí)頻率的信道進(jìn)行分析,我們?yōu)樾诺乐袩o(wú)源和有源器件建立了精確的模型。圖12(b)是一個(gè)點(diǎn)-點(diǎn)差分互連系統(tǒng)的電路示意圖,其中有傳輸線路、終端和一個(gè)主驅(qū)動(dòng)的簡(jiǎn)單模型。我們將研究不同傳輸方法在該通訊信道上的性能,以驗(yàn)證所用方法的有效性。


14.png

圖11 用多條短截線對(duì)信道傳輸函數(shù)進(jìn)行塑形

(a)使用多條短截線對(duì)信道特性進(jìn)行塑形;(b)H(f)作為短截線間距的函數(shù)


5.1 常見(jiàn)發(fā)射與接收均衡


第一種減輕ISI效應(yīng)的方法是使用均衡技術(shù)。圖13(a)中所示的是目標(biāo)數(shù)據(jù)速率為20Gb/s的系統(tǒng)在進(jìn)行均衡前以及進(jìn)行功率受約束的發(fā)射均衡后的傳輸函數(shù)。低成本封裝上的電鍍短截線在大約14GHz處給信道傳輸函數(shù)帶來(lái)了一個(gè)短截。因?yàn)檫@個(gè)原因,發(fā)射均衡器不得不大幅衰減傳輸數(shù)據(jù)的低頻部分,并使得總體的均衡后傳輸函數(shù)變得扁平。這導(dǎo)致SNR在接收端產(chǎn)生嚴(yán)重?fù)p失。圖13(b)中所示的是系統(tǒng)原始SBR和均衡后的SBR。圖14(a)和(b)中所顯示的分別是使用標(biāo)準(zhǔn)DFE均衡后的眼圖,以及使用分接頭數(shù)量為一個(gè)且回路展開(kāi)的DFE均衡后的眼圖。盡管使用標(biāo)準(zhǔn)DFE所接收到的眼圖顯示出了一定程度的張開(kāi),使用回路展開(kāi)的DFE則很明顯地改善了系統(tǒng)的電壓余量和時(shí)間余量。


5.2 雙二進(jìn)制信令的信道工程設(shè)計(jì)


第二種方法是通過(guò)在板卡和封裝上設(shè)計(jì)印制線短截線來(lái)改變信道的特性,并以此來(lái)匹配雙二進(jìn)制系統(tǒng)的特性;如圖15所示。設(shè)計(jì)這些短截線的目的是在系統(tǒng)最大工作頻率下設(shè)置零值。我們可以調(diào)整印制線的長(zhǎng)度與阻抗來(lái)控制響應(yīng)的頻率特性形狀。短截線印制線長(zhǎng)度為3.75mm。


15.png

圖12 芯片到芯片互連系統(tǒng)和信道模型

(a)互連系統(tǒng)(b)信道模型


16.png

圖13 發(fā)射與接收均衡(a)頻率響應(yīng)(b)單比特響應(yīng)


17.jpg

圖14 有發(fā)射與接收均衡的眼圖(a)使用標(biāo)準(zhǔn)DFE(b)使用分接頭數(shù)量為一個(gè)的回路展開(kāi)的DFE


圖16(a)中所示的是理想雙二進(jìn)制、原型和修正后系統(tǒng)的傳輸函數(shù)。修正后的傳輸函數(shù)非常匹配雙二進(jìn)制系統(tǒng)的傳輸函數(shù),并且在10GHz的奈奎斯特頻率上有零值。盡管原型系統(tǒng)的傳輸函數(shù)顯示在10GHz可以傳輸更多的能量,但I(xiàn)SI非常嚴(yán)重以至于無(wú)法可靠地傳輸速率為20Gbps的數(shù)據(jù)。改進(jìn)后的系統(tǒng)引入了總量受控的ISI,因此系統(tǒng)在不需要任何發(fā)射或接收均衡器的情況下就表現(xiàn)出電壓余量和時(shí)間余量上的極大改善,如圖16(b)所示。


18.png

圖15 設(shè)計(jì)改變連接系統(tǒng)整體特性的封裝和PCB印制線的實(shí)例


因?yàn)樵诟哳l下,頻譜內(nèi)容減少,局部響應(yīng)信令如雙二進(jìn)制信令還具有更好的抗串?dāng)_、抗反射特性以及較低的電磁干擾(EMI)。局部響應(yīng)信令可以降低所需的最大頻率,因?yàn)樗试S總量受控的ISI存在。


圖17(a)中所示的是芯片到芯片系統(tǒng)從輸入到輸出的信號(hào)通道眼圖。傳輸媒介將二進(jìn)制NRZ碼元轉(zhuǎn)化為雙二進(jìn)制或2類(lèi)多級(jí)相關(guān)碼元。圖17(b)中是改變后的二進(jìn)制數(shù)據(jù)模式和接收到的波形。我們通過(guò)信道時(shí)延來(lái)改變輸入波形,以此來(lái)排列并顯示信道對(duì)于輸入的影響。輸出碼元為發(fā)射器所發(fā)出的當(dāng)前碼元與前面的碼元之和。


19.png

圖17 修正型芯片到芯片互連系統(tǒng)將二進(jìn)制轉(zhuǎn)化為三重信號(hào)。


5.3 AMT信令的信道工程設(shè)計(jì)


圖18表示原有信道頻率響應(yīng)(分貝)和損耗角正切。對(duì)頻率響應(yīng)仔細(xì)檢查后顯示,信道響應(yīng)在第一次陷波頻率(由電鍍短截線所致)后開(kāi)始反彈,達(dá)到損耗角正切,與圖10(b)中的情況類(lèi)似。不過(guò),其響應(yīng)由于信道中斷的存在而受抑制。如果沒(méi)有這些其他的不連續(xù),信道響應(yīng)會(huì)在第一次陷波后反彈至損耗角正切,在20GHz以下時(shí),其衰減小于20dB。因此,第一次陷波后,部分可用信道傳輸容量就被浪費(fèi)了。本節(jié)所研究的第三種方法即是延長(zhǎng)封裝上電鍍短截線的長(zhǎng)度,將主陷波頻率移至低頻,如圖18所示。雖然這種修正降低了第一次陷波前信道的帶寬,但這能讓信道響應(yīng)在受到其他不連續(xù)抑制值前回彈至損耗角正切。


20.png

圖18 原有的和改進(jìn)后的頻率響應(yīng)


至此,信道在可用頻率上的頻率響應(yīng)主要取決于一條單短截線,而短截線又具有周期性頻率響應(yīng),便在15GHz時(shí)產(chǎn)生又一個(gè)陷波。結(jié)果與圖9(b)類(lèi)似,5GHz到15GHz之間的全通頻帶信道都打開(kāi)了,可以用AMT發(fā)射器來(lái)完成通頻帶信號(hào)傳輸。AMT系統(tǒng)會(huì)要求采用三信道(一條10Gb/s雙二進(jìn)制基帶信道和兩條正交10Gb/s雙二進(jìn)制通頻帶信道),以達(dá)到總計(jì)30Gb/s的數(shù)據(jù)速率。圖19(a)-(c)表示優(yōu)化后的AMT系統(tǒng)在該信道上的三條子流的眼圖。


由于改進(jìn)后的信道并不會(huì)像理想型雙二進(jìn)制信道那樣完全恢復(fù)至0dB,AMT系統(tǒng)需要具備一定數(shù)量的信號(hào)傳輸均衡器,或者是在接收器前端加裝一個(gè)線性均衡器(10GHz時(shí),其增益為10dB)。AMT系統(tǒng)的接收器同樣需要在各子流上配置一個(gè)分接頭數(shù)量為一的DFE;該DFE回路時(shí)間為200 ps,以消除各個(gè)子流的第二次后驅(qū)ISI。雖然這種方法增加了系統(tǒng)的復(fù)雜性,但其數(shù)據(jù)速率比前一種方法高出50%。圖20中所示的是均衡后降頻轉(zhuǎn)換前三條子流在接收器輸入端的信號(hào)響應(yīng)。


6 結(jié)論


本文論述了一種高速芯片-芯片通信互連器件受控ISI設(shè)計(jì)方法。我們利用常見(jiàn)封裝與板卡技術(shù)中的非理想特性來(lái)形成互連系統(tǒng)的信道響應(yīng)。這使得常見(jiàn)互連器件可以在最小的帶寬下傳送較高數(shù)據(jù)速率的信號(hào),并通過(guò)局部響應(yīng)和多音頻信令方式抑制計(jì)時(shí)不準(zhǔn)的發(fā)生。局部響應(yīng)信令(如雙二進(jìn)制信令)還表現(xiàn)出更好的抗串?dāng)_、抗EMI和抗反射特性。


21.jpg

圖19 第一、第二和第三子流眼圖

(a)第一信道(b)第二信道(c)第三信道


22.png

圖20 下轉(zhuǎn)換前,三條AMT子流在接收器輸入端處的均衡響應(yīng)


通過(guò)上述設(shè)計(jì)方法,我們可以采用低成本的常見(jiàn)封裝和板卡技術(shù)來(lái)實(shí)現(xiàn)20Gbps以上的下一代數(shù)據(jù)傳輸。我們還通過(guò)雙二進(jìn)制與模擬多頻音(AMT)的信令方法給出設(shè)計(jì)示例,以論證這種方法的有效性和優(yōu)勢(shì)。


來(lái)源:《國(guó)際線纜與連接》



免責(zé)聲明:本文為轉(zhuǎn)載文章,轉(zhuǎn)載此文目的在于傳遞更多信息,版權(quán)歸原作者所有。本文所用視頻、圖片、文字如涉及作品版權(quán)問(wèn)題,請(qǐng)聯(lián)系小編進(jìn)行處理。


推薦閱讀:


電動(dòng)車(chē)快速直流充電:常見(jiàn)的系統(tǒng)拓?fù)浣Y(jié)構(gòu)和功率器件

ADALM2000實(shí)驗(yàn):CMOS邏輯電路、傳輸門(mén)XOR

CAN總線接口保護(hù)電路設(shè)計(jì)指南

TAS2505-Q1無(wú)聲故障排查指南

OBC DC/DC SiC MOSFET驅(qū)動(dòng)選型及供電設(shè)計(jì)要點(diǎn)

特別推薦
技術(shù)文章更多>>
技術(shù)白皮書(shū)下載更多>>
熱門(mén)搜索
?

關(guān)閉

?

關(guān)閉